use ieee.std_logic_1164.ALL
use ieee.numeric_std.all
Entity Declarations
function int2bin(int : IN integer; binsize : IN integer) return std_logic_vector is
variable result : std_logic_vector((binsize-1) downto 0);
variable tmp : integer;
begin
tmp := int;
for i in 0 to (binsize-1) loop
if (tmp mod 2 = 1) then
result(i) := '1';
else
result(i) := '0';
end if;
tmp := tmp/2;
end loop;
return result;
end int2bin;