| Generated by EASE/HDL for peterj on Mon Jul 02 11:00:54 2007 |
Back |
Index |
MROD_X_In |
OutpFifo |
| Contents | Side Data | Generated HDL |
1 -- EASE/HDL begin -------------------------------------------------------------- 2 -- 3 -- Architecture 'a0' of entity 'OutpFifo'. 4 -- 5 -------------------------------------------------------------------------------- 6 -- 7 -- Copy of the interface declaration: 8 -- 9 -- port( 10 -- Clk : in std_logic; 11 -- Data : in std_logic_Vector(31 downto 0); 12 -- Empty : out std_logic; 13 -- Full : out std_logic; 14 -- Q : out std_logic_Vector(31 downto 0); 15 -- RdReq : in std_logic; 16 -- Rst_n : in std_logic; 17 -- UsedW : out std_logic_Vector(8 downto 0); 18 -- WrReq : in std_logic); 19 -- 20 -- EASE/HDL end ---------------------------------------------------------------- 21 22 architecture a0 of OutpFifo is 23 Component scfifo_512x32 24 PORT 25 ( 26 clk : IN std_logic; 27 din : IN std_logic_VECTOR(31 downto 0); 28 rd_en : IN std_logic; 29 rst : IN std_logic; 30 wr_en : IN std_logic; 31 data_count : OUT std_logic_VECTOR(8 downto 0); 32 dout : OUT std_logic_VECTOR(31 downto 0); 33 empty : OUT std_logic; 34 full : OUT std_logic 35 ); 36 End Component; 37 38 Signal RstIntern: Std_Logic; 39 40 begin 41 42 RstIntern <= Not Rst_n; 43 44 uc: scfifo_512x32 45 Port Map ( 46 clk => Clk, 47 din => Data, 48 rd_en => RdReq, 49 rst => RstIntern, 50 wr_en => WrReq, 51 data_count => UsedW, 52 dout => Q, 53 empty => Empty, 54 full => Full); 55 56 end architecture a0 ; -- of OutpFifo 57