Generated by EASE/HDL for peterj on Mon Jul 02 11:00:55 2007 |
![]() |
![]() |
![]() |
![]() |
Contents | Side Data | Generated HDL |
1 -- EASE/HDL begin -------------------------------------------------------------- 2 -- 3 -- Architecture 'a0' of entity 'RegPst'. 4 -- 5 -------------------------------------------------------------------------------- 6 -- 7 -- Copy of the interface declaration: 8 -- 9 -- generic( 10 -- n : positive := 8); 11 -- port( 12 -- Clk : in std_logic; 13 -- D : in std_logic_Vector(n-1 downto 0); 14 -- Pst_n : in std_logic; 15 -- Q : out std_logic_Vector(n-1 downto 0)); 16 -- 17 -- EASE/HDL end ---------------------------------------------------------------- 18 19 architecture a0 of RegPst is 20 21 BEGIN 22 Process (Clk, Pst_n) 23 Begin 24 If Pst_n = '0' Then 25 Q <= (Others => '1'); 26 ElsIf Rising_Edge(Clk) Then 27 Q <= D; 28 End If; 29 End Process; 30 end architecture a0 ; -- of RegPst 31