Documentation for architecture MROD_X_In/DecSlowMux/a0
VHDL Contents
1 architecture a0 of DecSlowMux is
106
107 signal Azero : std_logic;
111 signal SelAddress : std_logic_vector(6 downto 0);
112
113 BEGIN
114
115 Azero <= '0' when (MS0_n = '0' and Adr(20 downto 7) = "00000000000000") else '1';
120 SelAddress <= Azero & Adr(6 downto 1);
121
122 Sel0_n <= '0' when (SelAddress = "0000000") else '1';
123 Sel1_n <= '0' when (SelAddress = "0000001") else '1';
124 Sel2_n <= '0' when (SelAddress = "0000010") else '1';
125 Sel3_n <= '0' when (SelAddress = "0000011") else '1';
126 Sel4_n <= '0' when (SelAddress = "0000100") else '1';
127 Sel5_n <= '0' when (SelAddress = "0000101") else '1';
128 Sel6_n <= '0' when (SelAddress = "0000110") else '1';
129 Sel7_n <= '0' when (SelAddress = "0000111") else '1';
130 Sel8_n <= '0' when (SelAddress = "0001000") else '1';
131 Sel9_n <= '0' when (SelAddress = "0001001") else '1';
132 SelA_n <= '0' when (SelAddress = "0001010") else '1';
133 SelB_n <= '0' when (SelAddress = "0001011") else '1';
134 SelC_n <= '0' when (SelAddress = "0001100") else '1';
135 SelD_n <= '0' when (SelAddress = "0001101") else '1';
136 SelE_n <= '0' when (SelAddress = "0001110") else '1';
137 SelF_n <= '0' when (SelAddress = "0001111") else '1';
138 Sel10_n <= '0' when (SelAddress = "0010000") else '1';
139 Sel11_n <= '0' when (SelAddress = "0010001") else '1';
140 Sel12_n <= '0' when (SelAddress = "0010010") else '1';
141 Sel13_n <= '0' when (SelAddress = "0010011") else '1';
142 Sel14_n <= '0' when (SelAddress = "0010100") else '1';
143 Sel15_n <= '0' when (SelAddress = "0010101") else '1';
144 Sel16_n <= '0' when (SelAddress = "0010110") else '1';
145 Sel17_n <= '0' when (SelAddress = "0010111") else '1';
146 Sel18_n <= '0' when (SelAddress = "0011000") else '1';
147 Sel19_n <= '0' when (SelAddress = "0011001") else '1';
148 Sel1A_n <= '0' when (SelAddress = "0011010") else '1';
149 Sel1B_n <= '0' when (SelAddress = "0011011") else '1';
150 Sel1C_n <= '0' when (SelAddress = "0011100") else '1';
151 Sel1D_n <= '0' when (SelAddress = "0011101") else '1';
152 Sel1E_n <= '0' when (SelAddress = "0011110") else '1';
153 Sel1F_n <= '0' when (SelAddress = "0011111") else '1';
154 Sel20_n <= '0' when (SelAddress = "0100000") else '1';
155 Sel21_n <= '0' when (SelAddress = "0100001") else '1';
156 Sel22_n <= '0' when (SelAddress = "0100010") else '1';
157 Sel23_n <= '0' when (SelAddress = "0100011") else '1';
158 Sel24_n <= '0' when (SelAddress = "0100100") else '1';
159 Sel25_n <= '0' when (SelAddress = "0100101") else '1';
160 Sel26_n <= '0' when (SelAddress = "0100110") else '1';
161 Sel27_n <= '0' when (SelAddress = "0100111") else '1';
162 Sel28_n <= '0' when (SelAddress = "0101000") else '1';
163 Sel29_n <= '0' when (SelAddress = "0101001") else '1';
164 Sel2A_n <= '0' when (SelAddress = "0101010") else '1';
165 Sel2B_n <= '0' when (SelAddress = "0101011") else '1';
166
167 Sel3F_n <= '0' when (SelAddress = "0111111") else '1';
168
169
170 with SelAddress select D_Regs(31 downto 0) <=
171 Reg0d(31 downto 0) when "0000000", x"0000000" & "000" & Reg1d when "0000001", Reg2d(31 downto 0) when "0000010", x"0000000" & "000" & Reg3d when "0000011", Reg4d(31 downto 0) when "0000100", x"0000000" & "000" & Reg5d when "0000101", Reg6d(31 downto 0) when "0000110", x"0000000" & "000" & Reg7d when "0000111", Reg8d(31 downto 0) when "0001000", x"0000000" & "000" & Reg9d when "0001001", RegAd(31 downto 0) when "0001010", x"0000000" & "000" & RegBd when "0001011", RegCd(31 downto 0) when "0001100", x"0000000" & "000" & RegDd when "0001101", RegEd(31 downto 0) when "0001110", x"0000000" & "000" & RegFd when "0001111", Reg10d(7 downto 4) & x"000" & Reg10d(3 Downto 0) & x"000" when "0010000", x"00000000" when "0010001", Reg12d(31 downto 0) when "0010010", Reg13d(31 downto 0) when "0010011", Reg14d(7 downto 0) & x"000000" when "0010100", Reg15d(7 downto 0) & x"000000" when "0010101", x"0" & Reg16d(23 Downto 12) & x"0" & Reg16d(11 Downto 0) when "0010110", Reg17d(31 downto 0) when "0010111", x"000" & "00" & Reg18d(17 downto 0) when "0011000", x"000000" & "00" & Reg19d(5 downto 0) when "0011001", x"00" & "00" & Reg1Ad(21 downto 0) when "0011010", Reg1Bd(31 downto 0) when "0011011", Reg1Cd(31 downto 0) when "0011100", x"0000000" & "00" & Reg1Dd(1 downto 0) when "0011101", x"00000" & Reg1Ed(11 downto 0) when "0011110", x"00000" & Reg1Fd(11 downto 0) when "0011111", x"000" & "00" & Reg20d(17 downto 0) when "0100000", x"000" & "00" & Reg21d(17 downto 0) when "0100001", Reg22d(31 downto 0) when "0100010", Reg23d(31 downto 0) when "0100011", x"0000000" & Reg24d(3 downto 0) when "0100100", x"000" & "000" & Reg25d(16 downto 0) when "0100101", x"000" & '0' & Reg26d(18 downto 0) when "0100110", x"000" & '0' & Reg27d(18 downto 0) when "0100111", x"00000" & Reg28d(11 downto 0) when "0101000", x"000" & "000" & Reg29d(16 downto 0) when "0101001", Reg2Ad(31 downto 0) when "0101010", Reg2Bd(31 downto 0) when "0101011", x"0000" & '0' & Reg3Fd(14 downto 0) when "0111111", x"00000000" when others; end architecture a0 ;