Generated by EASE/HDL for peterj on Mon Jul 02 11:00:50 2007

Documentation for entity MGTR/DCM50

Contents Side Data Generated HDL


Architectures